広帯域VCOの試作(1~2.2 GHz/1.6~2.7 GHz)

はじめに 原理 回路図 試作 測定結果①(VCO L=6 mm) 測定結果②(VCO L=12 mm) 課題(自己共振の抑制) はじめに 今回は、マイクロストリップフィルタを用いた広帯域VCO(voltage controlled oscillator)を試作し、発振周波数・出力パワーを測定したので記…

BGA616というアンプ

はじめに 回路 測定 はじめに 今回は、秋月電子で販売されているBGA616というInfineon製のDC~2.7GHz広帯域アンプを評価してみた。 akizukidenshi.com データシートからFeatureの部分を引用↓ 3 dB-bandwidth: DC-2.7 GHz with 19.0 dB typical gain at 1.0 G…

Fusion PCBのFR-4の誘電率を測ってみた

はじめに 測定結果 誘電率をフィッティング 結論 ソースコード はじめに Fusion PCBという$4.9〜でプリント基板を注文できるサービスがある。Fusion PCBの注文画面(下)では、材質をFR-4 TG130、アルミ基板、フレキシブル基板から選択できる。「TG130」は…

3000円RFミキサを評価してみた

はじめに 動作確認 はじめに アマゾンで3000円のRFミキサを購入してみた↓。HMC213というHittite製のGaAsダブルバランストミキサが使われているらしい。周波数範囲はRF:1.5~4.5 GHz、IF:DC~1.5 GHz。今持っているスペアナの帯域が2.1 GHzまでなので、それ…

直流安定化電源を自作してみた

はじめに 構成 回路図 部品紹介 製作 基板作成 ケース加工 組み立て 完成 評価 余談----- 最近Twitterがイ一口ンマスクのせいでつまらなくなってしまった。もうあのアイコンは見たくもない。旧Twitterをやめてマストドンに逃げたが、それまでフォローしてい…

NE76084(GaAs MESFET)の小信号モデルパラメータの抽出

はじめに 小信号モデル はじめに 以前、秋月電子で200円で売られている高周波用のFET、NE76084(GaAs MESFET)をなんとなく40個購入した。18 GHzとかまでゲインが伸びていて、前からこれ使ってみたいなって思っていた。MESFET(metal-semiconductor field ef…

openEMSのメッシュを切る関数自作した

はじめに メッシュ分割の考え方 実装・関数の使い方 GradedMeshLines() GradedMesh() ソースコード はじめに openEMSはフリーでオープンソースのFDTD(有限差分時間領域法)の電磁界解析ソフトで、僕は結構気に入って使っているのだが、日本ではあまり流行っ…

openEMSで2.4GHzのバンドパスフィルタ

今日は友達にopenEMSの使い方を教えるために、簡単なバンドパスフィルタをシミュレーションした。 最初にQucsを使って適当にマイクロストリップのフィルタを作ってみた。基板はFR4で誘電率4.4、厚さ1.6 mmとした。マイクロストリップの幅は3 mmでだいたい50 …

積層セラミックコンデンサのDCバイアス特性を利用してVCOを作ってみる(小ネタ)

1. はじめに 2. 部品さがし 3. VCOの回路 4. 発振特性の測定 1. はじめに 積層セラミックコンデンサには、DCバイアスをかけると静電容量が大きく低下してしまうものがある。一般に、温度特性によってDCバイアス特性もおおよそ決まっている。温度特性がCHやC0…

ソース・メジャー・ユニット(SMU)の製作

1. はじめに 2. ハードウェア 2.1. 概要 2.2. 回路図 電圧源回路 電流検出抵抗 電流検出 電源・その他 3. 製作 3.1. プリント基板の設計 3.2. 完成 4. ソフトウェア 4.1. FT2232DのMPSSE機能 4.2. QtでGUIアプリケーション 5. 測定テスト 5.1. pnダイオード…

Lattice FPGA MachXO2でLEDチカチカ

以前から秋月電子で600円で買えるLatticeのFPGA、MachXO2(LCMXO2-256HC-4TG100C)が気になっていたので、簡単にLEDチカチカまで試してみた。 回路図 回路を作る Lattice Diamondのインストール プロジェクトの作成 HDLで論理回路の作成 ピンアサインの設定 …

openEMSを使ってみる。その4:2D Cylindrical Wave

Tutorial: 2D Cylindrical Wave Octaveスクリプト 周波数領域の電界分布をプロット Tutorial: 2D Cylindrical Wave 今回は「2D Cylindrical Wave」のチュートリアル Tutorial: 2D Cylindrical Wave - openEMS をやってみた。 Octaveスクリプト いつもの。実…

openEMSを使ってみる。その3:Circular Waveguide

Tutorial: Circular Waveguide Octaveスクリプト Sパラメータとインピーダンスの計算 Tutorial: Circular Waveguide 今回は「Circular Waveguide」(円形導波管)のチュートリアル Tutorial: Circular Waveguide - openEMS をやってみた。前回の「Rectangula…

openEMSを使ってみる。その2:Rectangular Waveguide

Tutorial: Rectangular Waveguide Octaveスクリプト 結果 電界分布 Sパラメータ Tutorial: Rectangular Waveguide 今回は「Rectangular Waveguide」(方形導波管)のチュートリアル(Tutorial: Rectangular Waveguide - openEMS)をやってみた。 Octaveスク…

openEMSを使ってみる。その1:Parallel Plate Waveguide

最近、オープンソースでFDTD法を用いて電磁界を計算してくれるopenEMSというものがあることを知った。openEMSは、OctaveまたはMatlabから利用できるようになっている。とりあえずインストールはできたので、使い方を覚えるためにチュートリアル Tutorials - …